FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit

EPI Consortium members published “FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit” in Microprocessors and Microsystems Journal.

Here is a link to an open-access version of the article: https://www.sciencedirect.com/science/article/abs/pii/S014193312300008X

DOI: https://doi.org/10.1016/j.micpro.2023.104762

« Back to the publications
Our website uses cookies to give you the most optimal experience online by: measuring our audience, understanding how our webpages are viewed and improving consequently the way our website works, providing you with relevant and personalized marketing content. You have full control over what you want to activate. You can accept the cookies by clicking on the “Accept all cookies” button or customize your choices by selecting the cookies you want to activate. You can also decline all cookies by clicking on the “Decline all cookies” button. Please find more information on our use of cookies and how to withdraw at any time your consent on our privacy policy.
Accept all cookies
Decline all cookies
Privacy Policy